COMPTOIR
  
register

×
×
×
×
×
×

Intel Accelerated • Foveros Omni, Foveros Direct : des évolutions dans les packages CPU
EMIB, Foveros : une histoire de die et de micro-billes de liaison
Meteor Lake : des promesses, toujours des promesses...
Des tas de saveurs de Foveros !
intel accel foveros direct t
intel accel foveros direct t
intel accel foveros direct t

Avec l’Intel Accelerated, une conférence web organisée par le géant bleu, la maison-mère des Pentium espère reconquérir la hype des passionnés après de trop longues années de sur-place. Nouvelle roadmap, investissements dans la R&D et annonce des futures finesses de gravure : Pat Gelsinger semble miser le tout pour le tout pour remettre la firme d’aplomb, et force est de constater que les promesses sont alléchantes. En guise de dernier maillon, Intel a décidé de nous causer packaging, à savoir Foveros, son empilement de puces 2,5 D pas vraiment répandu pour le moment en dépit de la tentative Lakefield.

 

Pour autant, la solution EMIB (Embedded Multi-die Interconnect Bridge) présente, sur le papier du moins, des avantages techniques : par l’intégration de petits dies passifs entre chiplets, un gain est possible en densité d’un facteur 2 par rapport à un packaging standard, et un facteur 4 en efficacité énergétique ; encore faut-il avoir des choses intéressantes à relier ensemble.

 

EMIB, Foveros : une histoire de die et de micro-billes de liaison [cliquer pour agrandir]

 

Droit dans ses bottes, Intel défend bec et ongle ainsi sa solution et a en ligne de mire une ligne principale d’amélioration : le bump pitch, espacement entre les différents contacts permettant de connecter la puce à son bridge, qui diminuera pour passer des 55 µm actuels à 45 µm, puis 40 µm dans la dernière itération prévue, de quoi densifier toujours plus l’EMIB. Ainsi, le package BGA 92x92 mm actuellement en développement serait le plus gros au monde, en ne reposant encore que sur des pitchs de 45 µm.

 

Meteor Lake : des promesses, toujours des promesses... [cliquer pour agrandir]

 

Or, pour défendre ses convictions, la firme n’a pas vraiment le choix : sortir des produits finaux compétitifs et performants intégrant le bousin. Cela tombe bien, les puces pour professionnels, Ponte Vecchio par exemple (et sa gravure Intel 7) en seront équipés — la seconde génération de Foveros, très exactement —, ainsi que Meteor Lake. Pour ce dernier, le fondeur de Santa Clara mettra le paquet : lithographie Intel 4, mixée avec d’autres tiles (TSMC ?), empilement Foveros et pitchs de 36 µm, le tout dans une enveloppe thermique dynamique, qui s’étendra de 5 W à 125 W — probablement sur des puces différentes, n’exagérons pas. Tout comme les différentes finesses renommées, ces améliorations seront également disponibles dans le service de fonderie personnalisé d’Intel, afin de diversifier ses sources de revenus.

 

Des tas de saveurs de Foveros ! [cliquer pour agrandir]

 

L’histoire aurait pu s’arrêter là, mais non ! Le patron Pat semble bien décidé à faire tous les fonds de tiroir et sortir tout ce qui pourrait déboucher sur une innovation de rupture, et, dans les restes de l’Architecture Day 2020 se trouvaient l’Omni-Directionnal Interconnect (ODI) et l’Hybrid Bonding. Respectivement renommés Foveros Omni et Foveros Direct, ces nouveautés seront le fer-de-lance de la recherche en packaging des bleus.

 

intel accel foveros direct t [cliquer pour agrandir]

 

Prévu pour une production en 2023, Foveros Omni permettra d’éviter la présence de TSV (Through-Silicon-Via) sur les empilements de dies, en faisant directement communiquer le die supérieur avec le reste du système par des colonnes en cuivres — qu’il s’agisse d’alimentation ou de signaux logiques — avec des pitchs allant de 36 µm à 25 µm selon l’avancée. Rajoutez également la possibilité de combiner plusieurs dies (de taille comme de procédé lithographique différent) comme base : la recette parfaite pour une salade réussie ?

 

intel accel foveros direct t [cliquer pour agrandir]

 

Quant à Foveros Direct, son concept n’a guère évolué et permet des contacts cuivre-cuivre directs entre les dies selon une méthode dite hybride plus précise que la précédente, évitant le besoin de matériaux tiers dans ces liaisons. Néanmoins, ce pas en avant permettrait des progrès significatifs en matière de densité, et pourra être combiné avec n’importe laquelle des technologies précédentes. Intel communique même sur la possibilité de stacker du cache sur d’autre die, ce qui rappelle fortement la solution d’AMD à ce sujet. Multiplier les cordes à son arc, n’est-ce pas, Pat ?

 

intel accel foveros direct t [cliquer pour agrandir]

Un petit récapitulatif bienvenu, en image

 

Rajoutez à cela une diminution toujours plus stricte de l’espacement des pitchs, qui rétrécissent de 55 µm pour la première génération de Foveros à 45 µm en seconde génération, puis 25 µm sur Foveros Omni et moins de 10 µm pour Foveros Direct. Tout un planning qui soulève, une nouvelle fois de plus, la question du respect des échéances, le passif des bleus n’étant pas brillant à ce sujet. Du côté des technologies émergentes, la mayonnaise n’a pas bougé : de l’optique, peut-être, et des améliorations du GAAFET : rien de très précis, encore. Affaire à suivre, mais à (plus ou moins) long terme !

 

Un poil avant ?

Intel Accelerated • Intel 20A, un nouveau noeud de gravure à l'étude, sous le Intel 3

Un peu plus tard ...

Test • be quiet! Shadow Rock Slim 2

Les 5 ragots
Les ragots sont actuellement
ouverts à tous, c'est open bar !